FPGA 学习笔记:Vivado 配置IO引脚约束

前言

配置IO 引脚

引脚引脚编号说明
40MHz 时钟输入U2740MHz 时钟输入
LED1AF28高电平 亮
LED2AE28高电平 亮
LED3Y29高电平 亮

配置步骤

module led_flash(
    input sys_clk, //FPGA_40MHz
    input sys_rst_n,
    output reg [2:0] led
);

约束文件指定

set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]

# set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
#set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]


set_property PACKAGE_PIN Y29 [get_ports {led[2]}]
set_property PACKAGE_PIN AE28 [get_ports {led[1]}]
set_property PACKAGE_PIN AF28 [get_ports {led[0]}]
set_property PACKAGE_PIN U27 [get_ports sys_clk]
set_property PACKAGE_PIN AH29 [get_ports sys_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
set_property SLEW SLOW [get_ports {led[2]}]
set_property SLEW SLOW [get_ports {led[1]}]
set_property SLEW SLOW [get_ports {led[0]}]
set_property DRIVE 12 [get_ports {led[2]}]
set_property DRIVE 12 [get_ports {led[1]}]
set_property DRIVE 12 [get_ports {led[0]}]

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

使用 Vivado 图形配置

FPGA 学习笔记:Vivado 配置IO引脚约束

FPGA 学习笔记:Vivado 配置IO引脚约束

功能验证

小结

共计人评分,平均

到目前为止还没有投票!成为第一位评论此文章。

(0)
心中带点小风骚的头像心中带点小风骚普通用户
上一篇 2023年3月23日 上午8:36
下一篇 2023年3月23日 上午8:37

相关推荐