2—基于FPGA(ZYNQ-Z2)的多功能小车—硬件设计—电机驱动模块(TB6612FNG)

目录


1.驱动电路

  FPGA的引脚电流都比较小,一般为几十微安,但是驱动电机的电流远大于此。因此需要一个电机驱动模块来作为桥梁,连接FPGA与电机。

2.TB6612FNG介绍

   为了驱动四路电机,我使用了两个TB6612FNG,设计简单,体积小,功能完整。我使用的是TB6612FNG芯片,焊接有一定难度,可根据需要选择直插模块,两者没有区别。

                           (芯片)                                                        (模块)

 TB6612FNG的引脚如下:

名称 作用
VM 电池供电
VCC 芯片供电(2.7-5.5V)
GND 接地
STBY 使能端口,高电平
A(B)IN1-2 控制正反转00停止,10正,01反
PWMA(B) 0-3.3V,控制转速
A(B)O-2 控制电机,接电机正负

 一个TB6612FNG可以控制两个电机,因此需要两个芯片。即控制电机需要4*2个输入(IN),4*1个调速(PWM)。一共12个IO。

3. 电路原理图

版权声明:本文为博主作者:贡橙小白鼠原创文章,版权归属原作者,如果侵权,请联系我们删除!

原文链接:https://blog.csdn.net/m0_61736329/article/details/131285189

共计人评分,平均

到目前为止还没有投票!成为第一位评论此文章。

(0)
青葱年少的头像青葱年少普通用户
上一篇 2024年5月6日
下一篇 2024年5月6日

相关推荐