【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)

【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)

设置步骤

①打开Vivado的任意一个可以运行的工程
②点击Simulation -> Run Behavioral Simulation进行仿真

图1
③等待运行结束后会弹出如图2所示的数字波形图
图2
④右键点击需要查看模拟波形的项目,在Waveform Style下选择Analog即可显示出模拟波形

图3
图4

其他

Simulation运行后的结果一般为十六进制的数值,我们可以通过右键需要改变进制的项目,点击Radix选择需要的进制,如有符号的十进制就选择Signed Decimal。

文章出处登录后可见!

已经登录?立即刷新

共计人评分,平均

到目前为止还没有投票!成为第一位评论此文章。

(0)
扎眼的阳光的头像扎眼的阳光普通用户
上一篇 2023年12月7日
下一篇 2023年12月7日

相关推荐